Seguir
Xiang Hu
Xiang Hu
Staff Engineer, Qualcomm Technologies Inc.
Dirección de correo verificada de qti.qualcomm.com
Título
Citado por
Citado por
Año
Biological nutrient removal in a full scale anoxic/anaerobic/aerobic/pre-anoxic-MBR plant for low C/N ratio municipal wastewater treatment
HU Xiang, XIE Li, S Hojae, S ZHANG, Y Dianhai
Chinese Journal of Chemical Engineering 22 (4), 447-454, 2014
682014
Reliability aware through silicon via planning for 3D stacked ICs
A Shayan, X Hu, H Peng, CK Cheng, W Yu, M Popovich, T Toms, X Chen
2009 Design, Automation & Test in Europe Conference & Exhibition, 288-291, 2009
312009
Experimental study of effect of temperature and stress on permeability characteristics of raw coal and shaped coal
X Hu, W Liang, SJ Hou, X Zhu, W Huang
Chinese Journal of Rock Mechanics and Engineering 31 (6), 1222-1229, 2012
252012
3D power distribution network co-design for nanoscale stacked silicon ICs
A Shayan, X Hu, H Peng, M Popovich, W Zhang, CK Cheng, L Chua-Eoan, ...
2008 IEEE-EPEP Electrical Performance of Electronic Packaging, 11-14, 2008
242008
Worst-case noise prediction with non-zero current transition times for early power distribution system verification
P Du, X Hu, SH Weng, A Shayan, X Chen, AE Engin, CK Cheng
2010 11th International Symposium on Quality Electronic Design (ISQED), 624-631, 2010
232010
A block-diagonal structured model reduction scheme for power grid networks
Z Zhang, X Hu, CK Cheng, N Wong
2011 Design, Automation & Test in Europe, 1-6, 2011
222011
Prediction and comparison of high-performance on-chip global interconnection
Y Zhang, X Hu, A Deutsch, AE Engin, JF Buckwalter, CK Cheng
IEEE transactions on very large scale integration (VLSI) systems 19 (7 …, 2010
222010
3D stacked power distribution considering substrate coupling
A Shayan, X Hu, W Zhang, CK Cheng, AE Engin, X Chen, M Popovich
2009 IEEE International Conference on Computer Design, 225-230, 2009
222009
A realistic early-stage power grid verification algorithm based on hierarchical constraints
Y Wang, X Hu, CK Cheng, GKH Pang, N Wong
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
182011
Power delivery network design and optimization for 3D stacked die designs
P Singh, R Sankar, X Hu, W Xie, A Sarkar, T Thomas
2010 IEEE International 3D Systems Integration Conference (3DIC), 1-6, 2010
162010
Exploring the rogue wave phenomenon in 3D power distribution networks
X Hu, P Du, CK Cheng
19th Topical Meeting on Electrical Performance of Electronic Packaging and …, 2010
162010
An adaptive parallel flow for power distribution network simulation using discrete Fourier transform
X Hu, W Zhao, P Du, A Shayan, CK Cheng
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), 125-130, 2010
142010
Modeling and analysis of power distribution networks in 3-D ICs
X Hu, P Du, JF Buckwalter, CK Cheng
IEEE transactions on very large scale integration (VLSI) systems 21 (2), 354-366, 2012
132012
Efficient power network analysis considering multidomain clock gating
W Zhang, W Yu, X Hu, L Zhang, R Shi, H Peng, Z Zhu, L Chua-Eoan, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2009
112009
On the bound of time-domain power supply noise based on frequency-domain target impedance
X Hu, W Zhao, P Du, Y Zhang, A Shayan, C Pan, AE Egin, CK Cheng
Proceedings of the 11th international workshop on System level interconnect …, 2009
112009
Prediction of high-performance on-chip global interconnection
Y Zhang, X Hu, A Deutsch, AE Engin, JF Buckwalter, CK Cheng
Proceedings of the 11th international workshop on System level interconnect …, 2009
92009
Multi-lambda matter in a chiral hadronic model
G Hua, Y Shu, H Xiang, L Yu-xin
Chinese Physics 10 (9), 805, 2001
92001
基于 Z 曲线的瓦片地图服务空间索引
聂云峰, 周文生, 舒坚, 许虎
中国图象图形学报 17 (2), 286-292, 2012
82012
Enabling power distribution network analysis flows for 3D ICs
X Hu, T Toms, R Radojcic, M Nowak, N Yu, CK Cheng
2010 IEEE International 3D Systems Integration Conference (3DIC), 1-4, 2010
82010
Predicting the worst-case voltage violation in a 3D power network
W Zhang, W Yu, X Hu, A Shayan, AE Engin, CK Cheng
Proceedings of the 11th international workshop on System level interconnect …, 2009
82009
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20