Seguir
Byeongho Kim
Byeongho Kim
Dirección de correo verificada de samsung.com - Página principal
Título
Citado por
Citado por
Año
Restructuring batch normalization to accelerate CNN training
W Jung, D Jung, B Kim, S Lee, W Rhee, JH Ahn
Proceedings of Machine Learning and Systems 1, 14-26, 2019
772019
Trim: Enhancing processor-memory interfaces with scalable tensor reduction in memory
J Park, B Kim, S Yun, E Lee, M Rhu, JH Ahn
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
482021
MViD: Sparse matrix-vector multiplication in mobile dram for accelerating recurrent neural networks
B Kim, J Chung, E Lee, W Jung, S Lee, J Choi, J Park, M Wi, S Lee, ...
IEEE Transactions on Computers 69 (7), 955-967, 2020
272020
TRiM: Tensor reduction in memory
B Kim, J Park, E Lee, M Rhu, JH Ahn
IEEE Computer Architecture Letters 20 (1), 5-8, 2020
192020
An FPGA-based RNN-T Inference Accelerator with PIM-HBM
S Kang, S Lee, B Kim, H Kim, K Sohn, NS Kim, E Lee
Proceedings of the 2022 ACM/SIGDA International Symposium on Field …, 2022
92022
Accelerating transformer networks through recomposing softmax layers
J Choi, H Li, B Kim, S Hwang, JH Ahn
2022 IEEE International Symposium on Workload Characterization (IISWC), 92-103, 2022
82022
GraNDe: Near-Data Processing Architecture With Adaptive Matrix Mapping for Graph Convolutional Networks
S Yun, B Kim, J Park, H Nam, JH Ahn, E Lee
IEEE Computer Architecture Letters 21 (2), 45-48, 2022
62022
Semiconductor memory device employing processing in memory (PIM) and operation method of the semiconductor memory device
S Seo, B Kim, J Park, J Ahn, WI Minbok, S Lee, LEE Eojin, J Wonkyung, ...
US Patent 11,139,033, 2021
52021
Samsung PIM/PNM for Transfmer Based AI: Energy Efficiency on PIM/PNM Cluster
JH Kim, Y Ro, J So, S Lee, S Kang, YG Cho, H Kim, B Kim, K Kim, S Park, ...
2023 IEEE Hot Chips 35 Symposium (HCS), 1-31, 2023
22023
GraNDe: Efficient Near-Data Processing Architecture for Graph Neural Networks
S Yun, H Nam, J Park, B Kim, JH Ahn, E Lee
IEEE Transactions on Computers, 2023
22023
An Architecture of Sparse Length Sum Accelerator in AxDIMM
S Kang, B Kim, S Lee, K Sohn
2022 IEEE 4th International Conference on Artificial Intelligence Circuits …, 2022
22022
MVP: An Efficient CNN Accelerator with Matrix, Vector, and Processing-Near-Memory Units
S Lee, J Choi, W Jung, B Kim, J Park, H Kim, JH Ahn
ACM Transactions on Design Automation of Electronic Systems (TODAES) 27 (5 …, 2022
22022
Method and apparatus with data processing
Y Ro, B Kim, J Park, J Ahn, WI Minbok, S Lee, LEE Eojin, J Wonkyung, ...
US Patent 11,436,477, 2022
12022
Semiconductor memory device employing processing in memory (PIM) and operation method of the semiconductor memory device
S Seo, B Kim, J Park, J Ahn, WI Minbok, S Lee, LEE Eojin, J Wonkyung, ...
US Patent 11,600,340, 2023
2023
Method and apparatus with data processing
Y Ro, B Kim, J Park, J Ahn, WI Minbok, S Lee, LEE Eojin, J Wonkyung, ...
US Patent App. 17/876,136, 2022
2022
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–15